Welcome![Sign In][Sign Up]
Location:
Search - fpga rom

Search list

[VHDL-FPGA-VerilogFPGA控制VGA显示(Verilog)

Description: 用FPGA开发板控制VGA显示,以800*600的分辨率,首先在屏幕的正中央依次出现“新”“年”“快”“乐”四个汉字,并分别移动到屏幕的四个角落,接着在屏幕中部从左至右依次出现“Happy New Year”英文字样,然后出现三个由小到大再消失的圆形图标模拟烟花,最后在黑屏中闪烁金星。字体均采用不同颜色,增添喜庆气氛。 本代码是练习VGA控制,ROM调用,时序控制及状态机运用的一个综合实例!
Platform: | Size: 11611 | Author: hangman_102@126.com | Hits:

[VHDL-FPGA-VerilogHex 转 Coe 档的源程序

Description: Hex 转 Coe 档的源程序,提供 FPGA 内使用 ROM 内将 Hex 档转成 FPGA 的 ROM 使用之 COE 档案,内附 VC6 工程及源代码.
Platform: | Size: 33540 | Author: xyz543 | Hits:

[Embeded-SCM DevelopSIN_fashengqi

Description: 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA 为数字平台,将微处理器、总线、数字频率合成器、存储器和 I/O 接口等硬件设备集中在一片 FPGA 上,利用直接数字频率合成技术、数字调制技术实现所要求波形的产生,用 FPGA 中的 ROM 储存 DDS 所需的波形表,充分利用片上资源,提高了系统的精确度、稳定性和抗干扰性能。使用新的数字信号处理(DSP)技术,通过在 Nios 中软件编程解决 不同的调制方式的实现和选择。系统频率实现 1Hz~20MHz 可调,步进达到了1Hz;完成了调幅、调频、二进制 PSK、二进制 ASK、二进制 FSK 调制和扫频输出的功能。 -2006altera race-based soft-core Nios wide spectrum of sinusoidal signal generator design : Abstract : The use of design-based Nios II embedded processor SOPC technology. Altera Corporation system to the Cyclone FPGA series of digital platform, microprocessor, bus, Digital Frequency Synthesizer, memory and I/O interface hardware concentrated in an FPGA, the use of direct digital frequency synthesis technology and digital modulation waveforms required to achieve the rise, Using FPGA ROM storage of the DDS waveform table, and make full use of on-chip resources, improve the system's accuracy, stability and robustness. Use of new digital signal processing (DSP) technology, Nios through software programming to solve different ways of achieving modulation and choice. Realize the system freq
Platform: | Size: 407552 | Author: 刘斐 | Hits:

[ARM-PowerPC-ColdFire-MIPSfpga

Description: FPGA数字电子系统设计与开发实例导航光盘内附源码-FPGA digital electronic systems design and development of CD-ROM containing source code examples of navigation
Platform: | Size: 1544192 | Author: 赵黎明 | Hits:

[VHDL-FPGA-VerilogXILINX_ROM

Description: XILINX公司的FPGA器件中的ROM使用中文教程,对使用方法做了详细的说明-XILINX
Platform: | Size: 211968 | Author: 张庆顺 | Hits:

[VHDL-FPGA-Verilogi2c

Description: SAA7114 和 FPGA/CPLD之间通讯的程序,本人觉得比较好,而且里面还添加了,ROM,用来存取IIC的常数和读来的数据。-SAA7114 and FPGA/CPLD communication between the procedures, I feel better, but it also added, ROM, used to access the IIC to the constant and time data.
Platform: | Size: 8192 | Author: 张亚伟 | Hits:

[VHDL-FPGA-Verilogan_dcfifo_top_restored

Description: alteral FPGA VERILOG 利用 ROM DCFIFO 和RAM 实现高速到低速时钟域的数据传输 ,值得学习。-alteral FPGA VERILOG using ROM DCFIFO and RAM to realize high-speed low-speed clock domain data transfer, it is worth learning.
Platform: | Size: 928768 | Author: alison | Hits:

[VHDL-FPGA-Verilogrom

Description: 我用VHDL写的正弦,用FPGA内部ROM,有仿真testbench,在quartus里可以运行。在板子里已经验证-I used to write VHDL sinusoidal, using FPGA internal ROM, has simulation testbench, you can run in Quartus. Yard has already been verified in the plates
Platform: | Size: 651264 | Author: jimmy | Hits:

[VHDL-FPGA-Verilogug_lpm_rom

Description: quartus rom的生成 运用matlab生成.mif或.hex文件 载入rom表-quartus rom the use of matlab generated generation. mif or. hex file loading rom Table
Platform: | Size: 824320 | Author: 王欣欣 | Hits:

[VHDL-FPGA-VerilogFPGA_Examples

Description: 《FPGA嵌入式应用系统开发典型实例》-书的光盘资料,该资料是用VHDL语言编写,作者:叶淦华-" FPGA embedded applications typical example of system development" - the book' s CD-ROM, the information is written in VHDL, the author:叶淦China
Platform: | Size: 8140800 | Author: LDP | Hits:

[VHDL-FPGA-Verilog2138

Description: 步进电机驱动器两相细分步进电机 fpga+rom-Stepper motor drive sub-two-phase stepper motors fpga+ rom
Platform: | Size: 139264 | Author: pigeoon | Hits:

[VHDL-FPGA-VerilogROM

Description: FPGA ROM利用FPGA实现的ROM只能认为器件处于用户状态时具备ROM功能。使用时不必要刻意划分,而ROM单元的初始化则是设计人员必须面对的问题。-FPGA ROM
Platform: | Size: 3072 | Author: niuren | Hits:

[VHDL-FPGA-VerilogDDSsinROMsample

Description: fpga DDS ROM数据正弦波形正半周采样程序-fpga DDS ROM sinusoidal waveform is a half weeks of data sampling procedures
Platform: | Size: 747520 | Author: caixiang | Hits:

[VHDL-FPGA-Verilogrom

Description: 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个ROM存储器。-The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware description language to achieve a ROM memory.
Platform: | Size: 179200 | Author: Daisy | Hits:

[VHDL-FPGA-Verilogrom

Description: 基于Verilog语言编写的各种只读存储器rom和随机存储器ram-Verilog language based on a variety of read-only memory rom and random access memory ram
Platform: | Size: 704512 | Author: 李辽原 | Hits:

[Database systemROM

Description: FPGA内部储存,源代码。 FPGA内部储存,源代码。-FPGA
Platform: | Size: 1315840 | Author: tandongfei | Hits:

[OtherVerilogHDL-FPGA

Description: Verilog HDL程序设计实例详解 光盘 FPGA-Verilog HDL programming example explanation of CD-ROM
Platform: | Size: 19944448 | Author: 蔡新林 | Hits:

[VHDL-FPGA-Verilogvga256

Description: 基于FPGA的VGA显示,256色显示,学会使用FPGA的ROM设计方法-FPGA-based VGA display, 256 color display, learn to use FPGA-ROM Design
Platform: | Size: 431104 | Author: huyongmeng | Hits:

[VHDL-FPGA-VerilogFPGA-Prototyping-by-VHDL-Examples---Xilinx-Sparta

Description: FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others-FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others
Platform: | Size: 16619520 | Author: Aleks | Hits:

[VHDL-FPGA-Verilogrom-test

Description: 简单的FPGA中ROM使用仿真程序,使用的verilog语言-Simple FPGA ROM emulator, using the verilog language
Platform: | Size: 9721856 | Author: blue | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net